Want to read Slashdot from your mobile device? Point it at m.slashdot.org and keep reading!

 



Forgot your password?
typodupeerror
×

Moore's Law Staying Strong Through 30nm 199

jeffsenter writes "The NYTimes has the story on IBM with JSR Micro advancing photolithograhy research to allow 30nm chips. Good news for Intel, AMD, Moore's Law and overclockers. The IBM researchers' technology advance allows for the same deep ultraviolet rays used to make chips today to be used at 30nm. Intel's newest CPUs are manufactured at 65nm and present technology tapped out soon after that. This buys Moore's Law a few more years."
This discussion has been archived. No new comments can be posted.

Moore's Law Staying Strong Through 30nm

Comments Filter:
  • by Aslan72 ( 647654 ) <(psjuvin) (at) (ilstu.edu)> on Monday February 20, 2006 @10:34AM (#14760748)
    "This buys Moore's Law a few more years."

    I've heard that more than a few times.Isn't that why it's a law? It seems like every 18 months or so, Moore ends up almost petering out (kind of like apple...) and there ends up being a redeeming breakthrough that keeps it around.

    If it wasn't a law, we'd just call it Moore's hypothesis, or Moore's pittiful attempt at justifying an upgrade. I remember the day when 50Mhz was the theoretical limit for speed and then they got the grand idea of putting a heat sink on the chip.

    --pete
  • by Captain Zep ( 908554 ) on Monday February 20, 2006 @10:39AM (#14760785)
    Unfortunately most of the extra processing speed this gets you will be sucked up the all the DRM software running sefl-checks on itself, calling the mothership, and triple checking that you are licensed to excecute the next instruction.

    So your computer will be nice and fast, just not any of your applications...

    Z.

  • Moore is Less (Score:3, Insightful)

    by dcw3 ( 649211 ) on Monday February 20, 2006 @10:45AM (#14760821) Journal
    I've heard the predictions for the end of Moore's Law, but haven't paid attention to the reasoning behind them. Is there some (sub)atomic barrier that is supposed to cause this? I was curious if further technological breakthroughs wouldn't prove these predictions incorrect. What would the predictions have been 20 or 30 years ago for our current tech? I doubt few, if any, were able to guess correctly.
  • by B3ryllium ( 571199 ) on Monday February 20, 2006 @10:45AM (#14760824) Homepage
    All this means is that AMD and Intel have to license the technology from a competitor. That's hardly good news for them, and it probably means higher CPU prices for us.

    This isn't good news at all.
  • Re:Well, NO. (Score:3, Insightful)

    by ChrisMaple ( 607946 ) on Monday February 20, 2006 @11:19AM (#14761026)
    Current carrying capacity is important mostly for supply rails. In high complexity digital chips, the supply current mostly is routed on the highest metal layers, which are thicker than the layers near the transistors. These high layers are often almost completely dedicated to power distribution, so the lines can be quite wide.
  • Re:Well, NO. (Score:5, Insightful)

    by lbrandy ( 923907 ) on Monday February 20, 2006 @11:31AM (#14761091)
    * Lines are 2-D thingies, but conductors are 3-D. Your etching technology has to get X times better to keep up with the line-drawing technology.
    * Same thing with the active components. If you try making the transistor half the old linear dimensions, you have 1/8th the volume of active silicon. This leads to all kinds of problems with leakage and power handling capability.
    * A line that's half as wide and half as thick has four times the resistance per unit length, and 1/4 the current-carrying capacity. You can try using a better conductor, but once you get to using copper, you're done.

    Why do I get the feeling that you actually have no idea what you are talking about, and neither do the people who modded you up. Etching, depositing, and lithography all go hand in hand when talking about an Xnm "process", therefore your comment about "thinner lines", in fact, makes no tangible sense. Lithography is the most difficult to shrink, not etching, so I'm really failing to see your point. It has been the main technical hurdle for the past 10 years.

    Furthermore, the "conductors" in a processor aren't nearly as dependant on size as the silicon-feature construction. You can have an extremely layered chip with larger conductors if need be (and modern chips are), so both comment #1 and #3 are reasonably meaningless.

    As for comment #2, yes, you are right: the "smaller transistor" problem is very well understood and it's the reason it takes so long to construct smaller and smaller processes, because the physics and effects must be taken into account. Not all transistors on a chip are the same size, nor can all transistors be shrunk. There is a reason that Intel doesn't slap it's PentiumIV plans into the new 30nm machine, and out comes a new chip. They have to go through and make sure that all the transistors that can be shrunk are, and none of those that cannot, are not. This is a reasonably non-trivial task, but it is not impossible, nor a "large can of whup-ass".

    (PS: Thanks for the math lesson about 2d vs 3d in part 1. You might want to recheck part 3, with that in mind.)
  • by Bad to the Ben ( 871357 ) on Monday February 20, 2006 @11:33AM (#14761101)
    That's kind of like saying the Wright Brothers should have skipped the Wright Flyer and just built a 747. It doesn't work that way, it's not that simple.

    Gearing up for a processor run can't just be done overnight. A fabrication line has to be created, and chip designs crafted to build certain chips at a certain process. This takes time (ask AMD). Whilst this is being done, the next process would be being researched, and ways would be discovered to make the new process profitable and not ridiculously expensive. Then, to build chips at the new process, new chip designs and fab lines have to be done. This
    takes time. Whilst this is being done, the next process would be being researched, etc etc. If Intel perpetually waited for "the smallest possible process" we'd never get any chips.

    There probably is an element of truth to your argument, I'm sure Intel does try to milk the most out of it's existing run to benefit from economies of scale. But scaling to the next process is not a simple task.
  • by Macguyvok ( 716408 ) on Monday February 20, 2006 @11:40AM (#14761154)

    Well, why that might be true for some, I've not yet seen any DRM software coming from the OSS camp. You all run DRM enabled AIM 6.6.6, I'll sit over here nice an happy running my gAIM 7.0 on my 23 teraherts AMD Zues 5400k+ with my 1.2 jigawatt powersuply. It'll run nice and fast.

    Oh, and that's not to mention linux not having DRM. And before you tell me that I won't be able to play my DVD's, or mp3's, or whatever, I'll point out OggVorbis for audio files (no DRM in that, nor will there be) and I'll also point out the simple fact that 8 out of 10 hackers run linux. How could they live without their StarWars DVD's, or Doom3: The Rock's Back, Again.



    Silly Consumer, DRM's for Little Girly Men.



    --Macguyvok
  • by ZachPruckowski ( 918562 ) <zachary.pruckowski@gmail.com> on Monday February 20, 2006 @11:45AM (#14761192)
    Now the problem here is that software seems to be getting less efficient. Even with faster processors, checking your email, web browsing and word processing now takes a lot more RAM than it used to. If software was getting more efficient, or at least holding to the same level, we'd be a lot farther ahead now.
  • by Kjella ( 173770 ) on Monday February 20, 2006 @12:11PM (#14761347) Homepage
    ...for the same reasons we call it Murphy's law. The world would be a pretty terrible place if absolutely everything that could ever possibly go wrong, did. In both cases it's just a perception that things behave in a law-like manner even though there's obviously no scientific basis and with plenty of counterexamples. As far as technology predictions goes, it is disturbingly accurate, it follows a mathematical formula as most laws do... so we call it a law. It's a joke, laugh.

    And the rub of it is exactly what you say - it seems to just keep going and going, despite its obvious unsustainability. My dad used an osciloscope on single bits in radio tubes, can you imagine what they said in the 60s? 70s? 80s? 90s? "This can't go on". Moore's law seemed (seems?) to stand above the laws of nature. That's what makes it so intriguing. But it has far more to do with social science than natural science...
  • by stevesliva ( 648202 ) on Monday February 20, 2006 @12:38PM (#14761557) Journal
    Your link doesn't actually explain what EWL is, but it's probably a reasonable assumption to assume that it won't be very compatible with 193nm (light wavelength) litho equipment.

    IBM's annoucement has a lot to do with stretching the usefulness of existing litho equipment and materials down to nodes that it was never expected to reach. This has been done again (65nm) and again (45nm) from what was once expected. IBM is saying, add water, and we'll do it again (30nm).

  • by trentblase ( 717954 ) on Monday February 20, 2006 @12:42PM (#14761597)
    First off, doubling at a constant rate is a perfect example of exponential behavior.

    Secondly, Moore's law is about transistors per chip, so maybe you mean Equivalent Transistor Count.

  • by vertinox ( 846076 ) on Monday February 20, 2006 @12:49PM (#14761656)
    Some things are mathematically impossible to parallelize. Also limitations caused by enforcing cache coherency, communications interconnects, and resource access synchronization/serialization create bottlenecks in parallel systems.

    Explain the human mind, then.
  • Re:Well, NO. (Score:2, Insightful)

    by phsdv ( 596873 ) on Monday February 20, 2006 @03:04PM (#14762645) Journal
    You are correct about the power lines, but not all power routing is done in thicker metal layers.

    Besides do not forget that you need a lot of current to charge a very small capacitor very fast! Modern minimal sized transistors can switching a 10 to 100mA each. These currents have to go through a almost 100nm with (copper)line. That are still high current densities!

    Going back on topic, the real amazing thing is that they can make very small lines (30nm) with light of a much larger wave length! Currently the industry can make 65nm lines using light with 193nm wavelenght! Think about it, this is line with a size of 1/3 of the wave length used! IBM has probably used 157nm wavelength to make 30nm lines, which means an factor of 5!

    Using much smaller wavelengths is a problem there the light (if you can still call it that) will be absorbed instead of transmitted by conventional lenses. See for example http://www.llnl.gov/str/Sween.html [llnl.gov] for more info.

    Thus special (expensive) lenses are needed when using Extreme-UV. The real news in this article is that it they made it possible with 'conventional' lenses and light sources!

  • by Foerstner ( 931398 ) on Monday February 20, 2006 @03:24PM (#14762770)
    IBM didn't invent anything new here. Rather, they proved that photolithography--the same technology used to build chips for decades--will continue to yield faster chips for the foreseeable future. In other words, silicon hasn't "hit the wall" just yet.

    IBM Microelectronics doesn't have a monopoly over photolithography. They couldn't get a patent if they tried--there's prior art going back about half a century. In other words, it's good news for IBM, Intel, AMD, Texas Instruments, Micron, Freescale, Agere, Samsung, Fujitsu, and anyone else building chips.

    But feel free to wave the POWER flag if you like. It's a nice architecture.
  • by Myria ( 562655 ) on Monday February 20, 2006 @03:26PM (#14762778)
    Explain the human mind, then.


    Simple. The amazing things that the human brain is capable of doing are parallelizable. Things like recognizing the shape of letters or phonemes in speech are definitely parallelizable tasks.

    Try doing something that isn't parallelizable, like modular exponentiation of a 2048-bit number, in the human brain. It goes very slowly.

    Melissa
  • by Kaldaien ( 676190 ) on Monday February 20, 2006 @07:52PM (#14764248)
    Moore's law does not specify the density or even number of transistors on an integrated circuit, as many mistakenly assume; it merely states that integrated circuits double in complexity vs. cost to manufacture every 18 months. In fact, new manufacturing techniques alone, which lower the cost to manufacture can satisfy the law.

    Moore's law will probably continue after quantum well transistors are implemented and minituarized. The Cell architecture and push for multi-core processors lend themselves well to Moore's law as well. I would wager designing 4-8 core CPUs, multi-core CPUs with shared caches and the new AMD chips that integrate the memory controller rather than using a Northbridge easily satisfy Moore's law.

All the simple programs have been written.

Working...